Sidebar on Intel EUV

In all of these announcements, one thing to highlight is Intel mentioning its relationship with ASML, the sole company that manufactures the EUV machines powering production of leading edge semiconductor manufacturing.


ASML Wilton

ASML is a unique company in that it is the only one that can produce these machines, because the technology behind them is often tied up with its partners and research, but also because all the major silicon manufacturers are heavily invested in ASML. For any other company to compete against ASML would require building a separate network of expertise, a decade of innovation and design, and a lot of capital. None of the major silicon vendors want to disturb this balance and go off on their own, lest it shuts them out of the latest manufacturing technology, and no research fund sees competing against the embedded norm as a viable opportunity. This means that anyone wanting EUV specialist technology has to go to ASML.

In 2012, it was reported that Intel, Samsung, and TSMC all invested in ASML. This was, at the time, to jumpstart EUV development along with migrating from 300mm wafers to 450mm wafers. While we haven’t moved to 450mm wafers yet (and there are doubts we will any time in the next decade), EUV is now here. Intel’s 2012 investment of $2.1 billion gave them a 10% stake in ASML, with Intel stating that it would continue investing up to a 25% stack. Those stakes are now below the 5% reporting threshold, but all three of the major foundry customers are still big owners, especially as ASML’s market cap has risen from $24 Billion in 2012 to $268 Billion in 2021 (surpassing Intel).

As major investors but also ASML’s customers, the race has been on for these foundries to acquire enough EUV machines to meet demand. TSMC reported in August 2020 that it has 50% of all EUV machines manufactured at ASML for its leading edge processes. Intel is a little behind, especially as none of Intel’s products in the market yet use any EUV. EUV will only intercept Intel’s portfolio with its new Intel 4 process, where it will be used extensively, mostly on the BEOL. But Intel still has to order machines when they need them, especially as there are reports that ASML currently has backorders of 50 EUV machines. In 2021, ASML is expected to manufacture around 45-50 machines, and 50-60 in 2022. The exact number of machines Intel has right now, or has ordered from ASML, is unknown. It is expected that each one has a ~$150m price tag, and can take 4-6 months to install.

With all that being said, Intel’s discussion point today is that it will be the lead customer for ASML’s next generation EUV technology known as High-NA EUV. NA in this context relates to the ‘numerical aperture’ of the EUV machine, or to put simply, how wide you can make the EUV beam inside the machine before it hits the wafer. The wider the beam before you hit the wafer, the more intense it can be when it hits the wafer, which increases how accurately the lines are printed. Normally in lithography to get better printed lines, we move from single patterning to double patterning (or quad patterning) to get that effect, which decreases yield. The move to High-NA would mean that the ecosystem can stay on single patterning for longer, which some have quoted as allowing the industry to ‘stay aligned with Moore’s Law longer’.

ASML's EUV Shipments
  2015 2016 2017 2018 2019 2020 2021
Actual 2 4 10 3 4 5 6 4 7 7 8 4 7 14 8 7 9 - -
Target (Total) - - - 20 (18) 30 (26) 35 (33) 45-50
2018 and beyond is split per quarter for actual shipped numbers
Data taken from ASML's Financial Reports

Current EUV systems are NA 0.33, while the new systems are NA 0.55. ASML’s latest update suggests that it expects customers to be using High-NA for production in 2025/2026, which means that Intel is likely going to be getting the first machine (ASML NXE:5000 we think) in mid-2024. Exactly how many High-NA machines ASML intends to produce in that time frame is unknown, as if they flood the market, having the first won’t be a big win. However if there is a slow High-NA ramp, it will be up to Intel to capitalize on its advantage.

Intel's Process Roadmap to 2025, with New Node Names New Technology Features for 2024: RibbonFETs and PowerVias
Comments Locked

326 Comments

View All Comments

  • Techie2 - Monday, July 26, 2021 - link

    Intel figures if they promise what they know they can't deliver that their stock price will increase while their profits decrease. They've been able to bamboozle the gullible for decades and have never ever admitted what a disaster their failed 10Nm process is. Even Pat Gelsinger is gonna have a tough time fixing a very broken and unscrupulous Intel who has been convicted many times of anti-trust violations in their efforts to prevent a level playing field and competition from superior products to Intel's over-priced extortion based products.
  • DigitalFreak - Monday, July 26, 2021 - link

    "Previously known as 10nm Enhanced Super Fin"

    So 10nm+++++++++++++++
  • mode_13h - Tuesday, July 27, 2021 - link

    Yeah, the article conspicuously didn't map out their 10 nm nodes, but it should be:

    10 nm -> Cannon Lake (2018)
    10 nm+ -> Ice Lake (2019)
    10 nm++ -> Tiger Lake (2020): 10 nm SF
    10 nm+++ -> Alder Lake (2021): 10 nm ESF -> Intel 7
  • Machinus - Monday, July 26, 2021 - link

    It is impossible to reclaim lost process leadership in 4 years. This is a joke.
  • JasonLD - Monday, July 26, 2021 - link

    There is no such thing as impossible in semiconductor industry. No one thought TSMC would take a leadership when their 20nm was considered dud 7 years ago.
  • Machinus - Monday, July 26, 2021 - link

    That's the example you want to go with? TSMC makes huge investments into development and facilities. TSMC is going to be collecting unprecedented revenue from every semiconductor player on Earth, including Intel when it builds chips for them.

    Enjoy your Intel dividends, because they don't spend earnings on engineering or manufacturing. Go read the finance reports for yourself. This company has been run for shareholder value for 16 years. It is NOT possible to reclaim lost leadership in 4.
  • JasonLD - Monday, July 26, 2021 - link

    And no one thought AMD would come back to become $100B company either. I know there is a better chance TSMC will remain the leader in 2025 and beyond, but anything can happen between now and 2025. I wouldn't completely rule Intel out.
  • Machinus - Monday, July 26, 2021 - link

    I would like to short your portfolio.
  • JasonLD - Tuesday, July 27, 2021 - link

    Nice ad hominem. Thanks for reminding me not to bother with comments section lol.
  • Machinus - Tuesday, July 27, 2021 - link

    No, it isn't. Are you literate? (That is one, though.)

    Coward can't defend his stupid opinions. (That's number two.)

Log in

Don't have an account? Sign up now